立即注册
查看: 1648|回复: 2

[资料] Altera DE2资料下载 有需要的拿去

发表于 2015-11-25 15:41:42 | 显示全部楼层 |阅读模式 来自 广东省深圳市罗湖区
8.18.4程序设计与仿真
电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序。
1.顶层程序与仿真
(1)顶层VHDL程序
--文件名:top.vhd
--功能:顶层文件
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity top is
Port ( clk32MHz  :in std_logic;                     --32MHz系统时钟
handTOauto : in std_logic;                     --键盘输入/自动演奏
code1      ut std_logic_vector(6 downto 0);    --音符显示信号
index1     :in std_logic_vector(7 downto 0);     --键盘输入信号
high1      ut std_logic;                    --高低音节信号
spkout     ut std_logic);                    --音频信号
end top;
architecture Behavioral of top is
component automusic
Port ( clk :in std_logic;                           
Auto: in std_logic;                           
index2:in std_logic_vector(7 downto 0);         
index0 : out std_logic_vector(7 downto 0));      
end component;
component tone
Port ( index : in std_logic_vector(7 downto 0);         
code : out std_logic_vector(6 downto 0);         
high : out std_logic;                           
tone0 : out integer range 0 to 2047);
end component;
component speaker
Port ( clk1 : in std_logic;
tone1 : in integer range 0 to 2047;
spks : out std_logic);
end component;
signal tone2: integer range 0 to 2047;
signal indx:std_logic_vector(7 downto 0);
begin
u0:automusic port map(clk=>clk32MHZ,index2=>index1,index0=>indx,Auto=>handtoAuto);
u1: tone port map(index=>indx,tone0=>tone2,code=>code1,high=>high1);
u2: speaker port map(clk1=>clk32MHZ,tone1=>tone2,spks=>spkout);
end Behavioral;




游客,如果您要查看本帖隐藏内容请回复

游客,如果您要查看本帖隐藏内容请回复

游客,如果您要查看本帖隐藏内容请回复


已绑定手机
已实名认证
发表于 2015-11-25 16:01:31 | 显示全部楼层 来自 西藏那曲市
还要钱
已绑定手机
发表于 2017-4-21 15:31:04 | 显示全部楼层 来自 上海市
谢谢分享。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

合作/建议

TEL: 19168984579

工作时间:
周一到周五 9:00-11:30 13:30-19:30
  • 扫一扫关注公众号
  • 扫一扫打开小程序
Copyright © 2013-2024 一牛网 版权所有 All Rights Reserved. 帮助中心|隐私声明|联系我们|手机版|粤ICP备13053961号|营业执照|EDI证
在本版发帖搜索
扫一扫添加微信客服
QQ客服返回顶部
快速回复 返回顶部 返回列表