立即注册
查看: 820|回复: 1

[资料] Xilinx FFT IP核详解(二):IP核的接口信号详细介绍

已绑定手机
发表于 2021-7-8 16:00:30 | 显示全部楼层 |阅读模式 来自 广东省深圳市
本文介绍以下接口信号:
时钟信号
复位信号
事件信号
AXI4-Stream信号

1.时钟信号
aclk(时钟信号)
IP核采用单时钟操作,即aclk,所有输入输出接口及内部逻辑都受这个时钟控制。该时钟的最高频率在软件设计时是有限制的。基于不同的器件家族及FFT/IFFT运算点数及配置,FFT IP核最高运行时钟稍有不同,这从Xilinx官方提供的IP核性能中可以看到。

2.复位信号
aresetn(同步清零,可选)
如果aresetn选项使能,驱动该端口低电平,会使所有输出端口、内部计数器和状态恢复到它们的初始状态值。初始状态值如表2所示,该初始状态值也是上电启动默认值。所有挂起的进程、转换计算和卸载处理都会重新初始化,NFFT设置为最大允许的FFT点数(Vivado IDE允许设置的最大值)。缩放因子设置为1/N。对于Radix-4突发I/O和流水线I/O架构使用非4幂次点数,最后一级缩放为1,其余缩放为2,参见表2。
1.jpg
aresetn管脚优先于aclken,如果插入了aresetn,则无论aclken的值如何,都会发生复位。复位至少满足两个时钟周期。

3.事件信号
IP核提供一些实时非AXI信号报告IP核的状态信息。这些信号IP是必须输出的,但是如果这些信号不连接,则会被综合工具综合掉,以节约资源。某些事件信号在某些模式下是不出现的,这在后续会有介绍。
event_frame_started
当IP核开始处理新帧时,会插入该信号,且该信号只持续一个时钟周期。该信号允许用于计数帧数,也可以用于同步配置特殊帧。

envent_tlast_missing
当IP核输入的数据数目大于Vivado设置的最大FFT/IFFT点数时,会插入此信号。该信号持续一个时钟周期。是否插入s_axis_data_tlast与此信号无关。

完整内容请下载附件查看
2021-7-8 16:00 上传
文件大小:
939.21 KB
下载次数:
6
本地下载

16RD supports Paypal , Payment is calculated at the exchange rate of the day. Unable to download please contact 18902843661 (WhatsApp OR wechat number)

关于一牛网在微软浏览器(Microsoft Edge、IE浏览器)警报通告&解决方案!(无法下载直接更换浏览器即可)

*附件为作者发布,与本站无关,如有侵权,请联系客服删除

已绑定手机
发表于 2021-7-8 18:35:52 | 显示全部楼层 来自 江苏省苏州市
研究看看
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

合作/建议

TEL: 19168984579

工作时间:
周一到周五 9:00-11:30 13:30-19:30
  • 扫一扫关注公众号
  • 扫一扫打开小程序
Copyright © 2013-2024 一牛网 版权所有 All Rights Reserved. 帮助中心|隐私声明|联系我们|手机版|粤ICP备13053961号|营业执照|EDI证
在本版发帖搜索
扫一扫添加微信客服
QQ客服返回顶部
快速回复 返回顶部 返回列表