立即注册
查看: 324|回复: 0

[资料] FPGA设计技巧与案例开发十七:基于FPGA的系统设计

已绑定手机
发表于 2022-1-29 15:00:02 | 显示全部楼层 |阅读模式 来自 广东省深圳市
FPGA系统设计流程
- 任务需求分析:功能、指标
- FPGA等核心芯片选型
- 基于FPGA的PCB整体布局
- 外围器件选型
- PCB设计

17.1 FPGA芯片选型
1.逻辑与存储器资源
考虑是仅完成接口逻辑,还是需要硬件实现算法加速,如果是算法,具体分析算法中的资源消耗,包括逻辑部分和存储部分。调试过程中逻辑分析仪SignalTap的资源占用。以及30%的设计余量,使布线时不会因资源占用过满而导致布线时间过长或降低时序性能。
2.时钟资源
考虑系统中需要使用那些时钟,输入哪些时钟,而后确定PLL和全局时钟网络的数量
3.引脚资源
计算以下接口的引脚数量:处理器互联、存储器、输入输出接口、时钟数量、复位引脚、调试引脚、扩展和备用引脚等,同时留有余量。例如当PCB层数受限下,BGA封装中的内层引脚的扇出难度等。
4.工作频率与速度等级
当完成RTL设计后,在选择型号中使用最低速度等级的FPGA进行布局布线,同时使用Quartus II中的TimeQuest调整时序。若不能工作在需要的频率下,则采用适当的方法优化时序,如找出关键路径添加流水线等。若依然无法满足要求,则提升FPGA速度等级 。
5.电平标准
分析系统中需要电平标准的种类,如VCOMS3.3V, LVDS,DDR3接口等,并参照FPGA手册中是否支持这些电平标准。同时根据电平数量,确定需要的BANK数。
6.硬核资源
这里所说的硬核资源不仅包括硬核处理器,也包括PLL时钟资源、具备乘法器结构的DSP块数量及硬核外部存储控制器等。
7.封装形式及其布线难度和制板成本
当确定了引脚数量后,即可选择封装。QFP封装的引脚分布在芯片四周,设计电路板时容易扇出,需PCB层数较少。BGA封装具有高密度的引脚排列方式,引脚不易受损,可设计平面化的电源层,并可通过引脚到电源层的连接散热。但由于其引脚密度过大,导致信号的扇出较为困难。
8.购买难度
芯片的购买难度很大程度上决定了芯片的价格。可以到淘宝、维库等网站搜索几个备选型号,看哪个型号的搜索结果数量较多。

17.2FPGA的与众不同——PCB布局在设计原理图之前
1.接口电平标准在Bank中的分配
同一个Bank中只能使用一个电平标准;
不要将所有同时开关、翻转输出信号集中在同一个Bank中;
将不同信号分配到各自的Bank中即可,无须精确到每个引脚。
2.数据流向与最邻近原则
按照数据流向与最邻近原则确定输入/输出引脚大体分配
1.jpg
3.资源使用就近原则
时钟信号必须从时钟专用引脚输入,走线应尽量短
4.在FPGA中合理进行引脚的布局来减少开关噪声
(1)把同时翻转的引脚分隔得远一些,放在2个不同的Bank中更好;
(2)高速引脚远离VCC和GND,把静态和未使用引脚靠近VCC和GND;
(3)对高速引脚合理地设置slow slew rate和lower drive strength;
(4)做好匹配。

17.3存储器的选型
1.电阻
1)注意电阻功耗,贴片电阻功率很小,0805的为1/10W,0603为1/16W,不能将当作功率器件
2)电阻的精度5%,1%,甚至0.5%
3)善用0欧姆电阻
4)测试电阻
2.电容
1)电容的作用:电荷缓冲池、高频噪声的泄放通路、实现交流耦合
2)电容特性与材质
3)电容的分类:多层陶瓷电容、钽电容、铝电解电容等
4)板级设计中的电容选择:相同电容值VS不同电容值
2.jpg
3.磁珠的选择
3.jpg

更多详细内容请下载附件查看
游客,如果您要查看本帖隐藏内容请回复
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

合作/建议

TEL: 19168984579

工作时间:
周一到周五 9:00-11:30 13:30-19:30
  • 扫一扫关注公众号
  • 扫一扫打开小程序
Copyright © 2013-2024 一牛网 版权所有 All Rights Reserved. 帮助中心|隐私声明|联系我们|手机版|粤ICP备13053961号|营业执照|EDI证
在本版发帖搜索
扫一扫添加微信客服
QQ客服返回顶部
快速回复 返回顶部 返回列表